Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Welcome To Ask or Share your Answers For Others

Categories

0 votes
1.1k views
in Technique[技术] by (71.8m points)

vhdl - "GT0 is not compiled in xil_defaultlib" and "gt is not declared"

this is my first time working in VHDL, and I was wondering why I am getting an error of "gt1 is not compiled in library 'xil_defaultlib', and 'gt is not declared' in struc_arch. The purpose of the code is to basically have a 2 bit comparator that will output 1 if a is greater than b.

architecture struc_arch of gt2 is
    signal g1 : std_logic;
begin
    gt_bit0_unit : entity work.gt0(sop_arch)
        port map(
            i0 => a(1),
            i1 => b(0),
            gt => g0
        );
    agtb <= gt;
end struc_arch;

And the code of the sop_arch that is referenced:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity gt2 is
port (a,b : in std_logic_vector(1 downto 0);
agtb : out std_logic);
end gt2;

architecture sop_arch of gt2 is
begin
agtb <= '1' when (a>b) else
'0';
end sop_arch;
question from:https://stackoverflow.com/questions/66048991/gt0-is-not-compiled-in-xil-defaultlib-and-gt-is-not-declared

与恶龙缠斗过久,自身亦成为恶龙;凝视深渊过久,深渊将回以凝视…
Welcome To Ask or Share your Answers For Others

1 Answer

0 votes
by (71.8m points)
Waitting for answers

与恶龙缠斗过久,自身亦成为恶龙;凝视深渊过久,深渊将回以凝视…
Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Click Here to Ask a Question

...