Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Welcome To Ask or Share your Answers For Others

Categories

Recent questions tagged vhdl

0 votes
543 views
1 answer
    I'm trying to create a flexible array of constants. I want to use a 2D array which may sometimes be for ... even possible using a 2D array? See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
869 views
1 answer
    I need to take the absolute value of a result and I am only interested in the most significant bits. This is ... I wrong? Thanks in advance c: See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
323 views
1 answer
    As far as my understanding in vhdl, it is not possible to have port mappings to components within a process. and ... (the if/else conditions). See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
629 views
1 answer
    I am preparing for an exam by going through some old ones. One of the questions is: Write the synthesizable ... inputs or outputs like that? See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
783 views
1 answer
    I'm trying to translate a Verilog program into VHDL and have stumbled across a statement where a question mark ... in this context. Kind regards See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
540 views
1 answer
    I did recently start to use records for my port definitions, especially if I want to group signals that belong ... widths. Thanks a million, T See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
422 views
1 answer
    Can anyone explain how delta cycles affect waveforms simulated by VHDL? I understand that it has to do with how ... 'm not exactly sure how. See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
363 views
1 answer
    Can anyone explain how delta cycles affect waveforms simulated by VHDL? I understand that it has to do with how ... 'm not exactly sure how. See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
473 views
1 answer
    Is it possible to create an entity with a port that is an array of std_logic_vectors, with both the size of ... without losing one's sanity)) See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
410 views
1 answer
    I am confused about when a signal declared in an architecture must be inserted into the sensitivity list of a ... in a process sensitivity list. See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
618 views
1 answer
    I had always used this for detecting a rising edge: if (clk'event and clk='1') then but this can also ... these two? Any preferences? Thanks! See Question&Answers more detail:os...
asked Oct 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
370 views
1 answer
    I'm working on a FIR filter, specifically the delay line. x_delayed is initialized to all zeros. type slv32_array is ... ; end if; end process; See Question&Answers more detail:os...
asked Oct 17, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
495 views
1 answer
    I wanna have a simple module that adds two std_logic_vectors. However, when using the code below with the + ... natural numbers. Many thanks See Question&Answers more detail:os...
asked Oct 17, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
388 views
1 answer
    I`m using FPGA Spartan 2 board and want to count the keys pressed from Keyboard this is my VHDL code : library ... a counter for pressed keys ? See Question&Answers more detail:os...
asked Oct 17, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
451 views
1 answer
    I learned that a signal is not changed immediately when encountering an expression, but when the process ends. In ... one is the correct way? See Question&Answers more detail:os...
asked Oct 17, 2021 in Technique[技术] by 深蓝 (71.8m points)
Ask a question:
Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Click Here to Ask a Question

2.1m questions

2.1m answers

60 comments

56.8k users

...