Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Welcome To Ask or Share your Answers For Others

Categories

0 votes
1.1k views
in Technique[技术] by (71.8m points)

verilog - $fgetc SystemVerilog function doesn't read from stdin

In the following testbench

module for_loop;

    int c; 
  
  initial begin
    $display("Write Here!");
    c = $fgetc('h8000_0000);
    $display(c);
  end 
endmodule

I get the output:

Write Here!
ERROR: File descriptor (-2147483648) passed to $fgetc in file C:/Users/Halib/XilinxVivadoWorkspace/project_7/project_7.srcs/sim_1/new/tb_q2.sv at line 8 is not valid.
         -1

Someone can help?

I'm using Vivado 2019.1

question from:https://stackoverflow.com/questions/65841430/fgetc-systemverilog-function-doesnt-read-from-stdin

与恶龙缠斗过久,自身亦成为恶龙;凝视深渊过久,深渊将回以凝视…
Welcome To Ask or Share your Answers For Others

1 Answer

0 votes
by (71.8m points)
Waitting for answers

与恶龙缠斗过久,自身亦成为恶龙;凝视深渊过久,深渊将回以凝视…
Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Click Here to Ask a Question

...